Print this page
Published in PC Hardware

Intel boffins come up with new processor tech

by on05 December 2022


A trillion transistors by 2030

Chipzilla appears to be beavering away at a new form of processor which can handle a trillion transistors by 2030.

Intel released nine research papers at IEDM 2022, which cover a variety of treats which will go into the new design. These include new 2D materials and 3D packaging technology that narrows the performance and power gap between chipset and single-die processors.

Some innovations will include transistors that 'don't forget when power is removed and embedded memories that can be stacked directly on top of transistors and store more than one bit per cell.

While some big tech companies, such as Tesla, Google and Apple, have a habit of over-egging their development pudding Intel's Components Research (CR) Group has a good track record of creating products that actually ship.

FinFET, which revolutionised transistor design for the industry, strained silicon, Hi-K metal gate, and many others were correctly predicted and developed by Intel CR.

Chipzilla CR has other technologies on its roadmap, including RibbonFET Gate All Around (GAA) transistors, PowerVia back-side power delivery, EMIB, and Foveros Direct.

On the list is something Intel calls Quasi-Monolithic Chips (QMC) which has similar characteristics as the interconnects that are built into a single die.

QMC is a new hybrid bonding technique that features sub-3 micron pitches and results in a 10X increase in power efficiency and performance density over the research Intel submitted at last year's IEDM.

Intel has apparently worked out a way to get to a 100X improvement in just a few years, showing that the company's work in hybrid bonding is accelerating rapidly. QMC also enables multiple chiplets to be stacked vertically on top of each other.

Intel is also researching transistors that 'don't forget,' meaning they don't lose their data (on/off state) when they lose power. This is akin to any non-volatile storage, like NAND, that can retain its state when power is removed, but it comes in the form of a logic transistor. Intel says it has hurdled two roadblocks to using this technology at room temperature.

Intel's other papers at the event outline other research areas, like GaN-on-silicon wafers that can enable future technologies beyond 5G, and better ways to store quantum information to create better qubits for quantum computing.

 

Last modified on 05 December 2022
Rate this item
(1 Vote)